comparemela.com

Latest Breaking News On - Irun akoko - Page 1 : comparemela.com

Gunmen abduct Ondo travellers, four others escape

Gunmen abduct four passengers in Ondo bus attack - The Nation Newspaper

Nigerian Newspapers: 10 things you need to know Monday morning

Nigerian Newspapers: 10 things you need to know Monday morning
dailypost.ng - get the latest breaking news, showbiz & celebrity photos, sport news & rumours, viral videos and top stories from dailypost.ng Daily Mail and Mail on Sunday newspapers.

Afikpo
Ebonyi
Nigeria
Mushin
Lagos
Kogi
Osara
Kano
Ondo-state
Ondo
Egypt
Victoria-island

Bandits kidnap driver, 3 passengers in Ondo

Suspected bandits have kidnapped a driver and three other passengers who were travelling to Irun Akoko in Akoko North West Local Government Area of Ondo State. The travellers were reportedly abducted around 6pm on Saturday en route to Irun through Imesi town, a close border community between Ekiti and Ondo…

Funmilayo-odunlami
Irun-akoko
Ikare-akoko
Adetunji-adeleye
Police-public-relations
Akoko-north-west-local-government-area
Daily-trust
Police-public-relations-officer
Ondo-commander
Amotekun-corps

Ondo: 18 travellers kidnapped on Irun Akoko/Imesi Ekiti road

At least 18 travellers were reportedly kidnapped around the Irun Akoko/Imesi Ekiti road on Saturday evening while returning from a party

Ekiti
Nigeria
Ikare-akoko
Ondo
Ondo-state
Ekiti-state
Adetunji-adeleye
Funmi-odunlami
Toyin-ogunyemi
Irun-akoko
Imesi-ekiti

© 2024 Vimarsana

vimarsana © 2020. All Rights Reserved.